Novel Test Point Insertion Mechanism Using Timing Aware Analysis to Target the Challenges in High Complex SOC Designs

Authors

  • Renold Sam Vethamuthu School of Electronics Engineering, VIT University, Vellore, India
  • Sivanantham S. School of Electronics Engineering, VIT University, Vellore, India

Keywords:

Control nodes, Observe nodes, Test point insertion, TPI, Static timing analysis (STA), critical paths, pattern count

Abstract

The modern complex, SoC designs use Advanced fault modelling like Cell-Aware-Test (CAT), Automotive fault grading (AGF), and Path delay fault models to cover all the possible potential defects in the design. Due to this advanced fault modelling the coverage is reduced and test vector volume increased drastically around 3x-4x times Compared to the standard ATPG approach. It impacts the reliability, overall testing cost and test time of the design. Test point Insertion (TPI) is the optimal solution to overcome the lower coverage and high volume of vector count issues by providing the controllable and observable nodes in the design to cover the uncontrollable and unobservable nodes. TPI is the methodology to identify the areas in a design which has low testability and require more patterns to achieve the targeted test coverage. At the same time, Test Point Insertion will lead to significant area impact and timing critical challenges after synthesis and post-optimization process. To overcome the Area and critical path timing challenges we proposed a Novel Test point Insertion mechanism by using the static timing Analysis (STA) Approach. In this approach, we calculated the most effective test points by using timing aware analysis followed by fault simulations. Based on the coverage, fault count and area overhead target optimal % of inefficient test points is discarded during the final TPI implementation. Finally, effective timing aware TPIs are inserted in the design to overcome the above mentioned challenges. The experiments are performed on the various design blocks, and the results are compared with conventional TPI approaches w.r.t area impact, pattern volume and coverage. It proves that the proposed Test Point Insertion approach gives a significant improvement in TPI area overhead reduction around 75% and the test vector count reduced to 48% with minimal coverage loss as low as 0.2%.

Downloads

Download data is not yet available.

References

F. Hapke et al., "Defect-Oriented Test: Effectiveness in High Volume Manufacturing," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 3, pp. 584-597, March 2021, doi: 10.1109/TCAD.2020.3001259.

A. Acharya et al., "Targeting Zero DPPM through Adoption of Advanced Fault Models and Unique Silicon Fall-out Analysis," 2021 IEEE International Test Conference India (ITC India), 2021, pp. 1-6, doi: 10.1109/ITCIndia52672.2021.9532687.

F. Hapke, W. Redemund, A. Glowatz, J. Rajski, M. Reese, M. Hustava, M. Keim, J. Schloeffel, and A. Fast, “Cell-aware test,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 9, pp. 1396–1409, 2014.

C. Acero et al., “Embedded deterministic test points for compact cell-aware tests,” in Proc. ITC, 2015, paper 2.2.

V. S. Iyengar and D. Brand, “Synthesis of pseudo-random pattern testable designs,” in Proc. ITC, 1989, pp. 501–508.

C. Acero et al., "Embedded Deterministic Test Points," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 10, pp. 2949-2961, Oct. 2017, doi: 10.1109/TVLSI.2017.2717844.

S. Eggersglüß, "Towards Complete Fault Coverage by Test Point Insertion using Optimization-SAT Techniques," 2019 IEEE International Test Conference in Asia (ITC-Asia), 2019, pp. 67-72, doi: 10.1109/ITC-Asia.2019.00025.

G. Kim, M. Cheong and S. Kang, "SPAR: A New Test Point Insertion Using Shared Points for Area Overhead Reduction," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, doi: 10.1109/TCAD.2022.3147100.

K. Padmapriya, B. K. S. V. L. Varaprasad and N. Varalakshmi, "A Survey on Test Point Insertion (TPI) Schemes," 2020 International Conference on Inventive Computation Technologies (ICICT), 2020, pp. 107-112, doi: 10.1109/ICICT48043.2020.9112405.

Y. Sun, S. K. Millican and V. D. Agrawal, "Special Session: Survey of Test Point Insertion for Logic Built-in Self-test," 2020 IEEE 38th VLSI Test Symposium (VTS), 2020, pp. 1-6, doi: 10.1109/VTS48691.2020.9107584.

V. Veena, E. Prabhu and N. mohan, "Improved Test Coverage by Observation Point Insertion for Fault Coverage Analysis," 2019 3rd International Conference on Trends in Electronics and Informatics (ICOEI), 2019, pp. 174-178, doi: 10.1109/ICOEI.2019.8862789.

J. Rajski, J. Tyszer and J. Zawada, "On New Class of Test Points and Their Applications," 2018 IEEE International Test Conference (ITC), 2018, pp. 1-9, doi: 10.1109/TEST.2018.8624900.

M. He, G. K. Contreras, D. Tran, L. Winemberg and M. Tehranipoor, "Test-Point Insertion Efficiency Analysis for LBIST in High-Assurance Applications," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 9, pp. 2602-2615, Sept. 2017, doi: 10.1109/TVLSI.2017.2704104.

M. T. He, G. K. Contreras, M. Tehranipoor, D. Tran and L. Winemberg, "Test-point insertion efficiency analysis for LBIST applications," 2016 IEEE 34th VLSI Test Symposium (VTS), 2016, pp. 1-6, doi: 10.1109/VTS.2016.7477314.

H. Konuk, E. Moghaddam, N. Mukherjee, J. Rajski, D. Solanki, J. Tyszer, and J. Zawada, “Design for low test pattern counts,” Proc. DAC, 2015, paper 58.4.

S. Remersaro, J. Rajski, T. Rinderknecht, S. M. Reddy, and I. Pomeranz, “ATPG heuristics dependent observation point insertion for enhanced compaction and data volume reduction,” in IEEE International Symp. on Defect and Fault Tolerance in VLSI Systems, 2008, pp. 385–393

E. Moghaddam, N. Mukherjee, J. Rajski, J. Tyszer and J. Zawada, "Test point insertion in hybrid test compression/LBIST architectures," 2016 IEEE International Test Conference (ITC), 2016, pp. 1-10, doi: 10.1109/TEST.2016.7805826

Y. Sun and S. Millican, "Test Point Insertion Using Artificial Neural Networks," 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2019, pp. 253-258, doi: 10.1109/ISVLSI.2019.00054.

S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman, and B. Courtois, “Built-in test for circuits with scan based on reseeding of multiple polynomial linear feedback shift registers,” IEEE Trans. Comput., vol. 44, no. 2, pp. 223–233, Feb. 1995.

A. Kumar, J. Rajski, S. M. Reddy, and T. Rinderknecht, “On the generation of compact deterministic test sets for BIST ready designs,” in Proc. ATS, 2013, pp. 201–206.

Y. Liu, E. Moghaddam, N. Mukherjee, S. M. Reddy, J. Rajski, and J. Tyszer, “Minimal area test points for deterministic patterns,” in Proc. ITC, Nov. 2016, paper 2.4.

S. Eggersgl¨uß and R. Drechsler, “High Quality Test Pattern Generation and Boolean Satisfiability”. Springer, 2012.

R. Drechsler, S. Eggersgl¨uß, G. Fey, A. Glowatz, F. Hapke, J. Schloeffel, and D. Tille, “On acceleration of SAT-based ATPG for industrial designs,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 7, pp. 1329–1333, 2008.

S. Udar and D. Kagaris, “Minimizing observation points for fault location,” in IEEE International Symp. on Defect and Fault Tolerance in VLSI Systems, 2009, pp. 263–267.

J.-S. Yang, N. A. Touba, and B. Nadeau-Dostie, “Test point insertion with control points driven by existing functional flip-flops,” IEEE Trans. Comput., vol. 61, no. 10, pp. 1473–1483, Oct. 2012.

H. Ren, M. Kusko, V. Kravets, and R. Yaari, “Low cost test point insertion without using extra registers for high performance design,” in Proc. ITC, 2009, paper 12.2.

R. Sethuram, S. Wang, S. T. Chakradhar, and M. L. Bushnell, “Zero cost test point insertion technique to reduce test set size and test generation time for structured ASICs,” in Proc. ATS, 2006, pp. 339–348

N. Tamarapalli and J. Rajski, “Constructive multi-phase test point insertion for scan-based BIST,” in Proc. ITC, 1996, pp. 649–658.

H.-C. Tsai, C.-J. Lin, S. Bhawmik, and K.-T. Cheng, “A hybrid algorithm for test point selection for scan-based BIST,” in Proc. DAC, 1997, pp. 478–483.

B. H. Seiss, P. Trouborst, and M. Schulz, “Test point insertion for scan based BIST,” in Proc. ETC, 1991, pp. 253–262.

K.-T. Cheng and C.-J. Lin, “Timing-driven test point insertion for full-scan and partial-scan BIST,” Proc. ITC, 1995, pp. 506-514.

M. Nakao, K. Hatayama, and I. Highasi, “Accelerated test points selection method for scan-based BIST,” Proc. ATS, 1997, pp. 359-364

C. Schotten and H. Meyr, “Test point insertion for an area efficient BIST,” in International Test Conf., 1995, pp. 515–523.

S. Udar and D. Kagaris, “Minimizing observation points for fault location,” in IEEE International Symp. on Defect and Fault Tolerance in VLSI Systems, 2009, pp. 263–267.

D. Erb, M. A. Kochte, S. Reimer, M. Sauer, H.-J. Wunderlich, and B. Becker, “Accurate QBF-based test pattern generation in presence of unknown values,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 12, pp. 2025–2038, 2015.

A. Jas, C.V. Krishna, and N.A. Touba, “Weighted pseudorandom hybrid BIST,” IEEE Trans. VLSI, vol. 12, pp. 1277-1283, 2004.

F. Muradali, V. K. Agarwal, and B. Nadeau-Dostie, “A new procedure for weighted random built-in self-test,” Proc. ITC, 1990, pp. 660-669.

N.A. Touba and E.J. McCluskey, “Bit-fixing in pseudorandom sequences for scan BIST,” IEEE Trans. CAD, vol. 20, pp. 545-555, 2001.

A.-W. Hakmi, H.-J. Wunderlich, C.G. Zoellin, A. Glowatz, F. Hapke, J. Schloeffel, and L. Souef, “Programmable deterministic built-in self-test,” Proc. ITC, 2007, paper 18.1.

S.-J. Wang, K.-T. Yeh, and K. S.-M. Li, “Exploiting distribution of unknown values in test responses to optimize test output compactors,” Integration, vol. 65, pp. 389–394, 2019.

S. Hellebrand, H.-G. Liang, and H.-J. Wunderlich, “A mixed mode BIST scheme based on reseeding of folding counters,” Proc. ITC, 2000, pp. 778-784.

M. Syal, M. S. Hsiao, S. Natarajan, and S. Chakravarty, “Untestable multi-cycle path delay faults in industrial designs,” in 14th Asian Test Symposium (ATS’05). IEEE, 2005, pp. 194–201.

M. T. He, G. Contreras, M. Tehranipoor, D. Tran, and L. Winemberg, “Test point insertion efficiency analysis for LBIST applications,” in Proc. VLSI Test Symp. (VTS), Apr. 2016, pp. 1–6.

Design Compiler User Guide. [Online]. Available: https://solvnet.synopsys.com

M. G. Corporation, Tessent® Scan and ATPG User’s Manual. Mentor Graphics Corporation, Siemens Industry Software Inc.

TestMAX DFT User Guide. [Online]. Available: https://solvnet.synopsys.com

TestMAX ATPG and Diagnosis User Guide. [Online]. Available: https://solvnet.synopsys.com

N. A. Touba and E. J. McCluskey, “Test point insertion based on path tracing,” in Proceedings of 14th VLSI Test Symposium. IEEE, 1996, pp.2–8.

Aluka, M. ., Dixit, R. ., & Kumar, P. . (2023). Enhancing and Detecting the Lung Cancer using Deep Learning. International Journal on Recent and Innovation Trends in Computing and Communication, 11(3s), 127–134. https://doi.org/10.17762/ijritcc.v11i3s.6173

Wanjiku , M., Levi, S., Silva, C., Ji-hoon, P., & Yamamoto, T. Exploring Feature Selection Methods in Support Vector Machines. Kuwait Journal of Machine Learning, 1(3). Retrieved from http://kuwaitjournals.com/index.php/kjml/article/view/131

Mr. Dharmesh Dhabliya, M. A. P. (2019). Threats, Solution and Benefits of Secure Shell. International Journal of Control and Automation, 12(6s), 30–35.

Downloads

Published

12.07.2023

How to Cite

Vethamuthu, R. S. ., & S., S. . (2023). Novel Test Point Insertion Mechanism Using Timing Aware Analysis to Target the Challenges in High Complex SOC Designs. International Journal of Intelligent Systems and Applications in Engineering, 11(9s), 528–539. Retrieved from https://ijisae.org/index.php/IJISAE/article/view/3189

Issue

Section

Research Article