Area-Optimized, Credit-Based, Flow Control Buffered NoC Router

Authors

  • Saylee S. Bidwai Research Scholar, MIT Academy of Engineering, Alandi, Pune, Pin-412105, India and SGBIT Belagavi
  • Sridhar Iyer Dept. of CSE(AI), KLE Technological University Dr. MSSCET, Belagavi, Pin-590008, Karnataka, India
  • Sandeep S. Bidwai Department of E&Tec, Army Institute of Technology, Alandi-road, Dighi, Pin-411015, Pune, Maharashtra

Keywords:

FPGA, FPSoc, MLPR, NoC, PPA, SoC

Abstract

The growing popularity of interconnect schemes based on Network-on-Chip (NoC) arises from their exceptional adaptability and scalability. Routers play a significant role in the realm of NoCs, exerting a high impact on performance and cost considerations. To address challenges and enhance the design of NoC routers, the incorporation of numerous innovative techniques becomes essential. We introduce an innovative concept for a NoC router with multiple local ports, developed using Verilog models. Our primary goals encompass the reduction of router size and the enhancement of data transmission speed. The proposed architecture uses XY routing and is further enhanced by optimized buffering, Credit-Based Flow Control, and a Deterministic Clock Approach. The proposed routers are subjected to comprehensive evaluations, scrutinizing their area requirements and operating frequencies. By harnessing distributed control mechanisms, these routers are empowered to operate autonomously, shedding the complexities of intricate handshakes. This, in turn, elevates their overall efficiency and scalability, marking an exceptional breakthrough. The Multi-Local Router design boasts the ability to simultaneously handle multiple independent requests, making it adaptable to high volumes of data traffic in intricate FPSoCs. Its proficiency in meeting essential design criteria such as Power, Performance, and Area (PPA) is truly commendable. To substantiate our claims, we realized and synthesized the proposed router design on a Xilinx Virtex 4 FPGA (4vsx25ff668-12), unequivocally demonstrating its viability and efficacy. This remarkable innovation now opens the door for the implementation of highly efficient NoCs in FPSoCs, particularly for various computationally intensive applications.

Downloads

Download data is not yet available.

References

Jain, A., Dwivedi, R. K., Alshazly, H., Kumar, A., Bourouis, S., & Kaur, M. (2022). Design and simulation of ring network-on-chip for different configured nodes. Computers, Materials & Continua, 71(2), 4085-4100.

Kumar, N. A., Priyan, S. V., Venkatramana, P., & Nandan, D. (2022). Routing Strategy: Network-on-Chip Architectures. VLSI Architecture for Signal, Speech, and Image Processing, 167-197.

Naqvi, M. R. (2021). Low power network on chip architectures: A survey. Computer Science and Information Technologies, 2(3), 158-168.

Yazdanpanah, F. (2023). A two-level network-on-chip architecture with multicast support. Journal of Parallel and Distributed Computing, 172, 114-130.

Tran, L. D., Felipe, A. L. S., & Matthews, G. I. (2022, December). The Need for 2-Phase Design Paradigms in High-Throughput GALS Network-On-Chip Architectures. In 2022 RIVF International Conference on Computing and Communication Technologies (RIVF) (pp. 305-310). IEEE.

Tahanian, E., Rezvani, M., & Fateh, M. (2021, March). A novel wireless network-on-chip architecture for multicore systems. In 2021 26th International Computer Conference, Computer Society of Iran (CSICC) (pp. 1-8). IEEE.

Charles, S., & Mishra, P. (2020). Reconfigurable network-on-chip security architecture. ACM Transactions on Design Automation of Electronic Systems (TODAES), 25(6), 1-25.

Sharma, S. K., Jain, A., Gupta, K., Prasad, D., & Singh, V. (2019). An internal schematic view and simulation of major diagonal mesh network-on-chip. Journal of Computational and Theoretical Nanoscience, 16(10), 4412-4417.

Sacanamboy, M. (2022). Heuristic algorithm for task mapping problem in a hierarchical wireless network-on-chip architecture. Cluster Computing, 1-17.

Amin, W., Hussain, F., Anjum, S., Khan, S., Baloch, N. K., Nain, Z., & Kim, S. W. (2020). Performance evaluation of application mapping approaches for network-on-chip designs. IEEE Access, 8, 63607-63631.

Wang, K., Louri, A., Karanth, A., & Bunescu, R. (2019, March). High-performance, energy-efficient, fault-tolerant network-on-chip design using reinforcement learning. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE) (pp. 1166-1171). IEEE.

Wang, L., Wang, X., & Wang, Y. (2019). An approximate bufferless network-on-chip. IEEE Access, 7, 141516-141532.

Xiang, X., Sigdel, P., & Tzeng, N. F. (2019). Bufferless network-on-chips with bridged multiple subnetworks for deflection reduction and energy savings. IEEE Transactions on Computers, 69(4), 577-590.

Venkataraman, N. L., Kumar, R., & Shakeel, P. M. (2020). Ant lion optimized bufferless routing in the design of low power application specific network on chip. Circuits, Systems, and Signal Processing, 39, 961-976.

Mandal, S. K., Krishnakumar, A., & Ogras, U. Y. (2021). Energy-efficient networks-on-chip architectures: Design and run-time optimization. Network-on-Chip Security and Privacy, 55-75.

Kunthara, R. G., Neethu, K., James, R. K., Sleeba, S. Z., & Jose, J. (2019, October). DoLaR: double layer routing for Bufferless mesh network-on-chip. In TENCON 2019-2019 IEEE Region 10 Conference (TENCON) (pp. 400-405). IEEE.

Arulananth, T. S., Baskar, M. S. M. U. S., SM, U. S., Thiagarajan, R., Rajeshwari, P. R., Kumar, A. S., & Suresh, A. (2021). Evaluation of low power consumption network on chip routing architecture. Microprocessors and Microsystems, 82, 103809.

Xiang, X., Sigdel, P., & Tzeng, N. F. (2019). Bufferless network-on-chips with bridged multiple subnetworks for deflection reduction and energy savings. IEEE Transactions on Computers, 69(4), 577-590.

Zitouni, A., & Chemli, B. (2021). Asynchronous dynamic arbiter for network on chip. International Journal of Computer Applications in Technology, 67(4), 370-382.

Siddagangappa, R. (2022, May). Asynchronous NoC with Fault tolerant mechanism: A Comprehensive Review. In 2022 Trends in Electrical, Electronics, Computer Engineering Conference (TEECCON) (pp. 84-92). IEEE.

Thonnart, Y., Vivet, P., Agarwal, S., & Chauhan, R. (2019, May). Latency improvement of an industrial SoC system interconnect using an asynchronous NoC backbone. In 2019 25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC) (pp. 46-47). IEEE.

Gogula, S., & Damodaran, V. (2023, April). Design of a VLSI Router for the Faster Data Transmission Using Buffer. In 2023 2nd International Conference on Smart Technologies and Systems for Next Generation Computing (ICSTSN) (pp. 1-5). IEEE.

Fard, E. S., Jamali, M. A. J., Masdari, M., & Majidzadeh, K. (2022). An efficient NoC router by optimal management of buffer read and write mechanism. Microprocessors and Microsystems, 89, 104440.

Patil, T., & Sandi, A. (2022). Design and implementation of asynchronous NOC architecture with buffered router. Materials Today: Proceedings, 49, 756-763.

Katta, M., Ramesh, T. K., & Plosila, J. (2021). SB-Router: A swapped buffer activated low latency network-on-chip router. IEEE Access, 9, 126564-126578.

Fard, E. S., Jamali, M. A. J., Masdari, M., & Majidzadeh, K. (2022). An efficient NoC router by optimal management of buffer read and write mechanism. Microprocessors and Microsystems, 89, 104440.

Jafarzadeh, N., Jalili, A., Alzubi, J. A., Rezaee, K., Liu, Y., Gheisari, M., ... & Javadpour, A. (2023). A novel buffering fault‐tolerance approach for network on chip (NoC). IET Circuits, Devices & Systems, 17(4), 250-257.

Nagaraju, S., Balasundaram, S., Balasundaram, R., & Kumar, R. K. (2022). Energy Efficient FSM based Elastic Buffer Routing Computation for NoC. Journal of Optoelectronics Laser, 41(9), 2022.

Downloads

Published

02.02.2024

How to Cite

S. Bidwai, S. ., Iyer, S. ., & S. Bidwai, S. . (2024). Area-Optimized, Credit-Based, Flow Control Buffered NoC Router . International Journal of Intelligent Systems and Applications in Engineering, 12(14s), 328–337. Retrieved from https://ijisae.org/index.php/IJISAE/article/view/4669

Issue

Section

Research Article