An Efficient Low-Power Reconfigurable Model For CMOS-Based SRAM Using FPGA

Authors

  • Rekha Sathyanarayana Department of Electronics and Communication Engineering, Don Bosco Institute of Technology, Bengaluru, Karnataka, 560074, India.
  • Nataraj Kanathur Ramaswamy Department of Research and Development, Visvesvaraya Technological University, Belagavi, Karnataka 590018, India
  • Rekha Kanathur Ramaswamy Department of ECE, SJBInstitute of Technology, Bengaluru, Karnataka, 560060, India.

Keywords:

Complementary metal oxide semiconductor (CMOS), static random-access memory (SRAM), field programming gate array (FPGA),, Complex Programmable Logic Devices (CPLDs), Configurable Logic Blocks (CLBs), logical elements (LEs).

Abstract

Due to the high-speed communication process in the memory cell, there is a requirement of a high-speed parallel switching operation to enhance the flexibility, reliability and accuracy of the memory cells. To achieve this, a low-power complementary metal oxide semiconductor (CMOS)-based static random-access memory (SRAM) using field programming gate array (FPGA) architecture has been proposed in this paper. High-speed reconfigurable on-chip CMOS SRAM memory blocks are used for optimal utilization of fast and low-power SRAM blocks and have been deployed on the 10T SRAM cells. The proposed method is used to help facilitate fast access to the reconfigurable data bits using Reconfigurable shadow CMOS SRAM Cells. The combined effect of reconfigurable CMOS SRAM cells and shadow SRAM technologies are used to reduce the delay and power by about 8.136 ns and 0.018 W for the 10T SRAM memory cells, as per the simulation result. Due to these phenomena, the area utilization for deployment is higher as compared to conventional methods such as 4T SRAM and 6T SRAM. This has been achieved with the help of FPGA-based CMOS SRAM memory cells.

Downloads

Download data is not yet available.

References

Y. Zhou, S. Thekkel and S. Bhunia, "Low power FPGA design using hybrid CMOS-NEMS approach," Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07), Portland, OR, USA, 2007, pp. 14-19, doi: 10.1145/1283780.1283785.

V. K. Joshi and S. Borkar, "A comparative study of NC and PP-SRAM cells with 6T SRAM cell using 45nm CMOS technology," 2016 International Conference on Advances in Electrical, Electronic and Systems Engineering (ICAEES), Putrajaya, Malaysia, 2016, pp. 58-62, doi: 10.1109/ICAEES.2016.7888009.

Kyomin Sohn et al., "An autonomous SRAM with on-chip sensors in an 80nm double stacked cell technology," Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005., Kyoto, Japan, 2005, pp. 232-235, doi: 10.1109/VLSIC.2005.1469374.

Soon-Moon Jung et al., "A study of formation and failure mechanism of CMP scratch induced defects on ILD in a W-damascene interconnect SRAM cell," 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167), Orlando, FL, USA, 2001, pp. 42-47, doi: 10.1109/RELPHY.2001.922879.

A. Bhaskar, "Design and analysis of low power SRAM cells," 2017 Innovations in Power and Advanced Computing Technologies (i-PACT), Vellore, India, 2017, pp. 1-5, doi: 10.1109/IPACT.2017.8244888.

P. S. Kanhaiya, C. Lau, G. Hills, M. D. Bishop and M. M. Shulaker, "Carbon Nanotube-Based CMOS SRAM: 1 kbit 6T SRAM Arrays and 10T SRAM Cells," in IEEE Transactions on Electron Devices, vol. 66, no. 12, pp. 5375-5380, Dec. 2019, doi: 10.1109/TED.2019.2945533.

Uk-Rae Cho et al., "A 1.2-V 1.5-Gb/s 72-Mb DDR3 SRAM," in IEEE Journal of Solid-State Circuits, vol. 38, no. 11, pp. 1943-1951, Nov. 2003, doi: 10.1109/JSSC.2003.818137.

Nam-Seog Kim, Yong-Jin Yoon, Uk-Rae Cho and Hyun-Geun Byun, "Programmable and automatically adjustable on-die terminator for DDR3-SRAM interface," Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003., San Jose, CA, USA, 2003, pp. 391-394, doi: 10.1109/CICC.2003.1249425.

J. H. Jang et al., "A 2.05 um/sup 2/ full CMOS ultra-low power SRAM cell with 0.15 nm generation single gate CMOS technology," International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), San Francisco, CA, USA, 2000, pp. 579-582, doi: 10.1109/IEDM.2000.904386.

H.. -S. Yu et al., "A SRAM Core Architecture with Adaptive Cell Bias Scheme," 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers., Honolulu, HI, USA, 2006, pp. 128-129, doi: 10.1109/VLSIC.2006.1705343.

Sang-Hun Seo et al., "Effects of gate notching profile defect on characteristic of cell NMOSFET in low-power SRAM device," 2003 8th International Symposium Plasma- and Process-Induced Damage., Corbeil-Essonnes, France, 2003, pp. 146-149, doi: 10.1109/PPID.2003.1200944.

S. Patil and V. S. K. Bhaaskaran, "Optimization of power and energy in FinFET based SRAM cell using adiabatic logic," 2017 International Conference on Nextgen Electronic Technologies: Silicon to Software (ICNETS2), Chennai, India, 2017, pp. 394-402, doi: 10.1109/ICNETS2.2017.8067966.

K. J. Kim et al., "A novel 6.4 /spl mu/m/sup 2/ full-CMOS SRAM cell with aspect ratio of 0.63 in a high-performance 0.25 /spl mu/m-generation CMOS technology," 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216), Honolulu, HI, USA, 1998, pp. 68-69, doi: 10.1109/VLSIT.1998.689202.

Sang-Hun Seo et al., "A novel double offset-implanted source/drain technology for reduction of gate-induced drain-leakage with 0.12-μm single-gate low-power SRAM device," in IEEE Electron Device Letters, vol. 23, no. 12, pp. 719-721, Dec. 2002, doi: 10.1109/LED.2002.805769.

H. Sato et al., "A 5-MHz, 3.6-mW, 1.4-V SRAM with nonboosted, vertical bipolar bit-line contact memory cell," in IEEE Journal of Solid-State Circuits, vol. 33, no. 11, pp. 1672-1681, Nov. 1998, doi: 10.1109/4.726557.

W. -G. Ho, K. -S. Chong, T. T. -H. Kim and B. -H. Gwee, "A Secure Data-Toggling SRAM for Confidential Data Protection," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, 2020, pp. 1-1, doi: 10.1109/ISCAS45731.2020.9180698.

S. K. K, K. P. B, V. M and R. K. R. D V, "A Design of Low Power Full Seu Tolerance RHBD 10t Sram Cell," 2020 IEEE India Council International Subsections Conference (INDISCON), Visakhapatnam, India, 2020, pp. 27-32, doi: 10.1109/INDISCON50162.2020.00018.

I. Alouani, H. Ahangari, O. Ozturk and S. Niar, "NS-SRAM: Neighborhood Solidarity SRAM for Reliability Enhancement of SRAM Memories," 2016 Euromicro Conference on Digital System Design (DSD), Limassol, Cyprus, 2016, pp. 154-159, doi: 10.1109/DSD.2016.12.

C. -W. Wu, M. -H. Chang, C. -C. Chen, R. Lee, H. -J. Liao and J. Chang, "A configurable 2-in-1 SRAM compiler with constant-negative-level write driver for low Vmin in 16nm Fin-FET CMOS," 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC), KaoHsiung, Taiwan, 2014, pp. 145-148, doi: 10.1109/ASSCC.2014.7008881.

P. Sharma, R. Anusha, K. Bharath, J. K. Gulati, P. K. Walia and S. J. Darak, "Quantification of figures of merit of 7T and 8T SRAM cells in subthreshold region and their comparison with the conventional 6T SRAM cell," 2016 20th International Symposium on VLSI Design and Test (VDAT), Guwahati, India, 2016, pp. 1-2, doi: 10.1109/ISVDAT.2016.8064899.

Soon Moon June, Sung Bong Kim, Jung Sup Uom, Won Suek Cho, Joo Young Kim and Kyung Tae Kim, "High density low power full CMOS SRAM cell technology with STI and CVD Ti/TiN barrier metal," ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361), Seoul, Korea (South), 1999, pp. 119-121, doi: 10.1109/ICVC.1999.820842.

A. S. V. S. V. P. D. Kumar, B. S. Suman, C. A. Sarkar and D. V. Kushwaha, "Stability and Performance Analysis of Low Power 6T SRAM Cell and Memristor Based SRAM Cell using 45NM CMOS Technology," 2018 International Conference on Recent Innovations in Electrical, Electronics & Communication Engineering (ICRIEECE), Bhubaneswar, India, 2018, pp. 2218-2222, doi: 10.1109/ICRIEECE44171.2018.9009119.

T. Seki et al., "A 6-ns 1-Mb CMOS SRAM with latched sense amplifier," in IEEE Journal of Solid-State Circuits, vol. 28, no. 4, pp. 478-483, April 1993, doi: 10.1109/4.210031.

M. Chaturvedi, M. Garg, B. Rawat and P. Mittal, "A Read Stability Enhanced, Temperature Tolerant 8T SRAM Cell," 2021 International Conference on Simulation, Automation & Smart Manufacturing (SASM), Mathura, India, 2021, pp. 1-5, doi: 10.1109/SASM51857.2021.9841199.

Hansraj, A. Chaudhary and A. Rana, "Ultra Low power SRAM Cell for High Speed Applications using 90nm CMOS Technology," 2020 8th International Conference on Reliability, Infocom Technologies and Optimization (Trends and Future Directions) (ICRITO), Noida, India, 2020, pp. 1107-1109, doi: 10.1109/ICRITO48877.2020.9197869.

J. K. Mishra, H. Srivastava, P. K. Misra and M. Goswami, "A 40nm Low Power High Stable SRAM Cell Using Separate Read Port and Sleep Transistor Methodology," 2018 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS), Hyderabad, India, 2018, pp. 1-5, doi: 10.1109/iSES.2018.00011.

C. -H. Yu, P. Su and C. -T. Chuang, "Impact of Random Variations on Cell Stability and Write-Ability of Low-Voltage SRAMs Using Monolayer and Bilayer Transition Metal Dichalcogenide (TMD) MOSFETs," in IEEE Electron Device Letters, vol. 37, no. 7, pp. 928-931, July 2016, doi: 10.1109/LED.2016.2564998.

A. Priadarshini and M. Jagadeeswari, "Low power reconfigurable FPGA based on SRAM," 2013 International Conference on Computer Communication and Informatics, Coimbatore, India, 2013, pp. 1-6, doi: 10.1109/ICCCI.2013.6466160.

S. Yadav, Y. Bansal, B. Joseph and R. K. Kavitha, "Low-Power Dual-Vt 7T SRAM Bit-Cell With Reduced Area and Leakage," 2022 IEEE Delhi Section Conference (DELCON), New Delhi, India, 2022, pp. 1-5, doi: 10.1109/DELCON54057.2022.9753131.

M. Li, P. Wu, B. Zhou, J. Appenzeller and X. S. Hu, "Cross-Coupled Gated Tunneling Diodes With Unprecedented PVCRs Enabling Compact SRAM Design—Part II: SRAM Circuit," in IEEE Transactions on Electron Devices, vol. 69, no. 11, pp. 6085-6088, Nov. 2022, doi: 10.1109/TED.2022.3207122.

S. Gupta, K. Gupta and N. Pandey, "Performance evaluation of SRAM cells for deep submicron technologies," 2016 Second International Innovative Applications of Computational Intelligence on Power, Energy and Controls with their Impact on Humanity (CIPECH), Ghaziabad, India, 2016, pp. 292-296, doi: 10.1109/CIPECH.2016.7918785.

M. Bansal, A. Kumar, P. Singh and R. K. Nagaria, "A Novel 10T SRAM cell for Low Power Applications," 2018 5th IEEE Uttar Pradesh Section International Conference on Electrical, Electronics and Computer Engineering (UPCON), Gorakhpur, India, 2018, pp. 1-4, doi: 10.1109/UPCON.2018.8596829.

H. Banga and D. Agarwal, "Single bit-line 10T SRAM cell for low power and high SNM," 2017 International Conference on Recent Innovations in Signal processing and Embedded Systems (RISE), Bhopal, India, 2017, pp. 433-438, doi: 10.1109/RISE.2017.8378194.

Y. Kim, Q. Tong, K. Choi and Y. Lee, "Novel 8-T CNFET SRAM cell design for the future ultra-low power microelectronics," 2016 International SoC Design Conference (ISOCC), Jeju, Korea (South), 2016, pp. 243-244, doi: 10.1109/ISOCC.2016.7799768.

H. Okamura, T. Saito, H. Goto, M. Yamamoto and K. Nakamura, "Mosaic SRAM Cell TEGs with intentionally-added device variability for confirming the ratio-less SRAM operation," 2013 IEEE International Conference on Microelectronic Test Structures (ICMTS), Osaka, Japan, 2013, pp. 212-215, doi: 10.1109/ICMTS.2013.6528174.

R. Deena Kumari Selvam, C. Senthilpari and L. Lini, "Low power and low voltage SRAM design for LDPC codes hardware applications," 2014 IEEE International Conference on Semiconductor Electronics (ICSE2014), Kuala Lumpur, Malaysia, 2014, pp. 332-335, doi: 10.1109/SMELEC.2014.6920865.

M. U. Mohammed, A. Nizam and M. H. Chowdhury, "Performance Stability Analysis of SRAM Cells Based on Different FinFET Devices in 7nm Technology," 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA, USA, 2018, pp. 1-3, doi: 10.1109/S3S.2018.8640161.

M. U. Mohammed and M. H. Chowdhury, "Reliability and Energy Efficiency of the Tunneling Transistor-Based 6T SRAM Cell in Sub-10 nm Domain," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 65, no. 12, pp. 1829-1833, Dec. 2018, doi: 10.1109/TCSII.2018.2874897.

V. Rukkumani, M. Saravanakumar and K. Srinivasan, "Design and analysis of SRAM cells for power reduction using low power techniques," 2016 IEEE Region 10 Conference (TENCON), Singapore, 2016, pp. 3058-3062, doi: 10.1109/TENCON.2016.7848609.

N. Surana and J. Mekie, "Energy Efficient Single-Ended 6-T SRAM for Multimedia Applications," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 66, no. 6, pp. 1023-1027, June 2019, doi: 10.1109/TCSII.2018.2869945.

R. Kumar et al., "Design and Benchmark of Iso-Stable High Density 4T SRAM cells for 64MB arrays in 65nm LSTP," 2020 IEEE 17th India Council International Conference (INDICON), New Delhi, India, 2020, pp. 1-7, doi: 10.1109/INDICON49873.2020.9342091.

G. Prasad, B. c. Mandi, P. Ramu, T. V. Sowrabh and A. H. Kumar, "Statistical Analysis of 5T SRAM Cell for Low Power and Less Area SRAM Based Cache Memory for IoT Applications," 2020 First International Conference on Power, Control and Computing Technologies (ICPC2T), Raipur, India, 2020, pp. 368-372, doi: 10.1109/ICPC2T48082.2020.9071468.

B. V. Garidepalli, R. Prasad Somineni, A. Peddi and U. M. Janniekode, "Design and Analysis of 16nm GNRFET and CMOS Based Low Power 4kb SRAM Array Using 1-Bit 6T SRAM Cell," 2022 IEEE IAS Global Conference on Emerging Technologies (GlobConET), Arad, Romania, 2022, pp. 102-108, doi: 10.1109/GlobConET53749.2022.9872358.

Downloads

Published

25.12.2023

How to Cite

Sathyanarayana, R. ., Ramaswamy , N. K. ., & Ramaswamy, R. K. . (2023). An Efficient Low-Power Reconfigurable Model For CMOS-Based SRAM Using FPGA. International Journal of Intelligent Systems and Applications in Engineering, 12(1), 500–515. Retrieved from https://ijisae.org/index.php/IJISAE/article/view/3948

Issue

Section

Research Article